Print this page
3006 VERIFY[S,U,P] and ASSERT[S,U,P] frequently check if first argument is zero


   6  * You may not use this file except in compliance with the License.
   7  *
   8  * You can obtain a copy of the license at usr/src/OPENSOLARIS.LICENSE
   9  * or http://www.opensolaris.org/os/licensing.
  10  * See the License for the specific language governing permissions
  11  * and limitations under the License.
  12  *
  13  * When distributing Covered Code, include this CDDL HEADER in each
  14  * file and include the License file at usr/src/OPENSOLARIS.LICENSE.
  15  * If applicable, add the following below this CDDL HEADER, with the
  16  * fields enclosed by brackets "[]" replaced with your own identifying
  17  * information: Portions Copyright [yyyy] [name of copyright owner]
  18  *
  19  * CDDL HEADER END
  20  */
  21 /*
  22  * Copyright 2010 Sun Microsystems, Inc.  All rights reserved.
  23  * Use is subject to license terms.
  24  */
  25 




  26 /*      Copyright (c) 1984, 1986, 1987, 1988, 1989 AT&T     */
  27 /*        All Rights Reserved   */
  28 
  29 #ifndef _SYS_DEBUG_H
  30 #define _SYS_DEBUG_H
  31 
  32 #include <sys/isa_defs.h>
  33 #include <sys/types.h>
  34 #include <sys/note.h>
  35 
  36 #ifdef  __cplusplus
  37 extern "C" {
  38 #endif
  39 
  40 /*
  41  * ASSERT(ex) causes a panic or debugger entry if expression ex is not
  42  * true.  ASSERT() is included only for debugging, and is a no-op in
  43  * production kernels.  VERIFY(ex), on the other hand, behaves like
  44  * ASSERT and is evaluated on both debug and non-debug kernels.
  45  */


  97  * and prints out the values of the left and right hand expressions as part of
  98  * the panic message to ease debugging.  The three variants imply the type
  99  * of their arguments.  ASSERT3S() is for signed data types, ASSERT3U() is
 100  * for unsigned, and ASSERT3P() is for pointers.  The VERIFY3*() macros
 101  * have the same relationship as above.
 102  */
 103 extern void assfail3(const char *, uintmax_t, const char *, uintmax_t,
 104     const char *, int);
 105 #define VERIFY3_IMPL(LEFT, OP, RIGHT, TYPE) do { \
 106         const TYPE __left = (TYPE)(LEFT); \
 107         const TYPE __right = (TYPE)(RIGHT); \
 108         if (!(__left OP __right)) \
 109                 assfail3(#LEFT " " #OP " " #RIGHT, \
 110                         (uintmax_t)__left, #OP, (uintmax_t)__right, \
 111                         __FILE__, __LINE__); \
 112 _NOTE(CONSTCOND) } while (0)
 113 
 114 #define VERIFY3S(x, y, z)       VERIFY3_IMPL(x, y, z, int64_t)
 115 #define VERIFY3U(x, y, z)       VERIFY3_IMPL(x, y, z, uint64_t)
 116 #define VERIFY3P(x, y, z)       VERIFY3_IMPL(x, y, z, uintptr_t)


 117 #if DEBUG
 118 #define ASSERT3S(x, y, z)       VERIFY3_IMPL(x, y, z, int64_t)
 119 #define ASSERT3U(x, y, z)       VERIFY3_IMPL(x, y, z, uint64_t)
 120 #define ASSERT3P(x, y, z)       VERIFY3_IMPL(x, y, z, uintptr_t)

 121 #else
 122 #define ASSERT3S(x, y, z)       ((void)0)
 123 #define ASSERT3U(x, y, z)       ((void)0)
 124 #define ASSERT3P(x, y, z)       ((void)0)

 125 #endif
 126 
 127 #ifdef  _KERNEL
 128 
 129 extern void abort_sequence_enter(char *);
 130 extern void debug_enter(char *);
 131 
 132 #endif  /* _KERNEL */
 133 
 134 #if defined(DEBUG) && !defined(__sun)
 135 /* CSTYLED */
 136 #define STATIC
 137 #else
 138 /* CSTYLED */
 139 #define STATIC static
 140 #endif
 141 
 142 #ifdef  __cplusplus
 143 }
 144 #endif


   6  * You may not use this file except in compliance with the License.
   7  *
   8  * You can obtain a copy of the license at usr/src/OPENSOLARIS.LICENSE
   9  * or http://www.opensolaris.org/os/licensing.
  10  * See the License for the specific language governing permissions
  11  * and limitations under the License.
  12  *
  13  * When distributing Covered Code, include this CDDL HEADER in each
  14  * file and include the License file at usr/src/OPENSOLARIS.LICENSE.
  15  * If applicable, add the following below this CDDL HEADER, with the
  16  * fields enclosed by brackets "[]" replaced with your own identifying
  17  * information: Portions Copyright [yyyy] [name of copyright owner]
  18  *
  19  * CDDL HEADER END
  20  */
  21 /*
  22  * Copyright 2010 Sun Microsystems, Inc.  All rights reserved.
  23  * Use is subject to license terms.
  24  */
  25 
  26 /*
  27  * Copyright (c) 2012 by Delphix. All rights reserved.
  28  */
  29 
  30 /*      Copyright (c) 1984, 1986, 1987, 1988, 1989 AT&T     */
  31 /*        All Rights Reserved   */
  32 
  33 #ifndef _SYS_DEBUG_H
  34 #define _SYS_DEBUG_H
  35 
  36 #include <sys/isa_defs.h>
  37 #include <sys/types.h>
  38 #include <sys/note.h>
  39 
  40 #ifdef  __cplusplus
  41 extern "C" {
  42 #endif
  43 
  44 /*
  45  * ASSERT(ex) causes a panic or debugger entry if expression ex is not
  46  * true.  ASSERT() is included only for debugging, and is a no-op in
  47  * production kernels.  VERIFY(ex), on the other hand, behaves like
  48  * ASSERT and is evaluated on both debug and non-debug kernels.
  49  */


 101  * and prints out the values of the left and right hand expressions as part of
 102  * the panic message to ease debugging.  The three variants imply the type
 103  * of their arguments.  ASSERT3S() is for signed data types, ASSERT3U() is
 104  * for unsigned, and ASSERT3P() is for pointers.  The VERIFY3*() macros
 105  * have the same relationship as above.
 106  */
 107 extern void assfail3(const char *, uintmax_t, const char *, uintmax_t,
 108     const char *, int);
 109 #define VERIFY3_IMPL(LEFT, OP, RIGHT, TYPE) do { \
 110         const TYPE __left = (TYPE)(LEFT); \
 111         const TYPE __right = (TYPE)(RIGHT); \
 112         if (!(__left OP __right)) \
 113                 assfail3(#LEFT " " #OP " " #RIGHT, \
 114                         (uintmax_t)__left, #OP, (uintmax_t)__right, \
 115                         __FILE__, __LINE__); \
 116 _NOTE(CONSTCOND) } while (0)
 117 
 118 #define VERIFY3S(x, y, z)       VERIFY3_IMPL(x, y, z, int64_t)
 119 #define VERIFY3U(x, y, z)       VERIFY3_IMPL(x, y, z, uint64_t)
 120 #define VERIFY3P(x, y, z)       VERIFY3_IMPL(x, y, z, uintptr_t)
 121 #define VERIFY0(x)              VERIFY3_IMPL(x, ==, 0, uintmax_t)
 122 
 123 #if DEBUG
 124 #define ASSERT3S(x, y, z)       VERIFY3_IMPL(x, y, z, int64_t)
 125 #define ASSERT3U(x, y, z)       VERIFY3_IMPL(x, y, z, uint64_t)
 126 #define ASSERT3P(x, y, z)       VERIFY3_IMPL(x, y, z, uintptr_t)
 127 #define ASSERT0(x)              VERIFY3_IMPL(x, ==, 0, uintmax_t)
 128 #else
 129 #define ASSERT3S(x, y, z)       ((void)0)
 130 #define ASSERT3U(x, y, z)       ((void)0)
 131 #define ASSERT3P(x, y, z)       ((void)0)
 132 #define ASSERT0(x)              ((void)0)
 133 #endif
 134 
 135 #ifdef  _KERNEL
 136 
 137 extern void abort_sequence_enter(char *);
 138 extern void debug_enter(char *);
 139 
 140 #endif  /* _KERNEL */
 141 
 142 #if defined(DEBUG) && !defined(__sun)
 143 /* CSTYLED */
 144 #define STATIC
 145 #else
 146 /* CSTYLED */
 147 #define STATIC static
 148 #endif
 149 
 150 #ifdef  __cplusplus
 151 }
 152 #endif