Print this page
10080 smatch Makefile changes for usr/src/cmd

Split Close
Expand all
Collapse all
          --- old/usr/src/cmd/svc/startd/Makefile
          +++ new/usr/src/cmd/svc/startd/Makefile
↓ open down ↓ 14 lines elided ↑ open up ↑
  15   15  # If applicable, add the following below this CDDL HEADER, with the
  16   16  # fields enclosed by brackets "[]" replaced with your own identifying
  17   17  # information: Portions Copyright [yyyy] [name of copyright owner]
  18   18  #
  19   19  # CDDL HEADER END
  20   20  #
  21   21  #
  22   22  # Copyright (c) 2004, 2010, Oracle and/or its affiliates. All rights reserved.
  23   23  # Copyright 2012 Milan Jurik. All rights reserved.
  24   24  # Copyright 2016 Toomas Soome <tsoome@me.com>
       25 +# Copyright (c) 2018, Joyent, Inc.
  25   26  #
  26   27  
  27   28  PROG = svc.startd
  28   29  OBJS = \
  29   30          contract.o \
  30   31          deathrow.o \
  31   32          dict.o \
  32   33          env.o \
  33   34          expand.o \
  34   35          file.o \
↓ open down ↓ 30 lines elided ↑ open up ↑
  65   66  
  66   67  $(NOT_RELEASE_BUILD)CPPFLAGS += -DDEBUG
  67   68  CFLAGS += $(CCVERBOSE)
  68   69  CPPFLAGS += -I. -I../common
  69   70  
  70   71  CERRWARN += -_gcc=-Wno-parentheses
  71   72  CERRWARN += -_gcc=-Wno-unused-label
  72   73  CERRWARN += -_gcc=-Wno-switch
  73   74  CERRWARN += -_gcc=-Wno-uninitialized
  74   75  
       76 +# these look like real bugs here, but ...
       77 +SMOFF += impossible_mask,signed_integer_overflow_check
       78 +
  75   79  $(OBJS) := CPPFLAGS += -D_FILE_OFFSET_BITS=64 -D_REENTRANT
  76   80  
  77   81  proc.o := CPPFLAGS += -D_REENTRANT
  78   82  
  79   83  %.o:    ../common/%.c
  80   84          $(COMPILE.c) $(OUTPUT_OPTION) $<
  81   85          $(POST_PROCESS_O)
  82   86  
  83   87  %.ln:   ../common/%.c
  84   88          $(LINT.c) $(OUTPUT_OPTION) -c $<
↓ open down ↓ 45 lines elided ↑ open up ↑
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX